Page 1 of 1

Comparison of vectors

Posted: Sun Sep 21, 2014 9:15 am
by Rado1
It would be quite useful to support comparison operators (==, !=, maybe also <, <=, >, >=) on vectors. E.g. for

Code: Select all

vec3 a = vector3(0, 0, 0),b = vector3(0,0,0);
if(a == b) trace("equals");
ZGE reports "Invalid datatype for jump" syntax error now.